【問題9】 「クロック同期回路」の設計は難しい?

完全マスター! 電子回路ドリル III(9) シミュレータを用い、ブロッキング代入とノン・ブロッキング代入の動作の違いについて調査。2つの代入の使い分けのポイントとは?
http://monoist.atmarkit.co.jp/fembedded/articles/eledrill3/3term/09/eledrill3_09.html